Stay Cool and Get More Power From Your FPGA

FPGA, Thermal resistance

It’s time to put cooling capabilities before performance when you’re choosing an FPGA.

FPGA processors are like sports cars these days. The market is full of high-performance models. But while the power and possibilities of a high-performance FPGA are exciting and enticing, they’re also expensive. And, like a sports car, the performance may be overkill for what you need if you are not able to fully utilize the FPGA. How often do you really get to put all of that power into action? If you do go for full power, how do you avoid overheating? This is the FPGA challenge today: The power in the latest generation of processors is outpacing the ability to cool them — especially in rugged defense and aerospace environments.

The key is to look at the cooling capabilities first, and make sure they’re adequate for your application requirements and operating environment. Then you’ll be in a much better position to take full advantage of the processing power in your FPGA.

 

Look Beyond Convection and Conduction Cooling

Standard cooling techniques, such as convection (air) cooling and conduction cooling, work well in rugged environments for devices that use less than 40 watts of power. But today’s FPGAs often use 50 or more watts, with some requiring more than 100 watts.

Luckily, newer cooling techniques that can handle higher temperatures are being used more often.  Air-Flow-Through (AFT) cooling is particularly effective. With AFT, air is blown through channels in the metal work that covers the card, as shown below.

There are several advantages to AFT technology.  Here are just a few:

  • The air doesn’t come into contact with the components on the FPGA, so any air available, including “dirty” air, can be used for cooling.
  • AFT enables wider modules for higher air flow potential.
  • The air flows through a closed channel rather than over the card so a higher percentage of the air is used for cooling.

 

Consider Thermal Resistance

Thermal resistance is also important. Every cooling method includes a thermal resistance path from the die of the silicon device being cooled to the cold wall or air flow that is removing the heat. The lower the thermal resistance, the more efficient the cooling. There are three key things to consider:

  • The materials used in the heatsink or metal frame require tradeoffs between thermal resistance, weight, and cost. Copper has lower thermal resistance than aluminum, but is heavier. Annealed pyrolytic graphite (APG) has low thermal resistance and is light, but is expensive.
  • The thermal pad or putty between the frame, heatsink, and component should have low thermal resistance.
  • At the component level, removing the lid on the component package reduces thermal resistance between the die and the case.

 

Read the White Paper

For more information about the pros and cons of the cooling techniques described above and their impact on FPGA performance, read our white paper: Untapped Potential for FPGA Processing.